Files |  Tutorials |  Articles |  Links |  Home |  Team |  Forum |  Wiki |  Impressum

Aktuelle Zeit: Di Mai 14, 2024 19:42

Foren-Übersicht » DGL » Feedback
Unbeantwortete Themen | Aktive Themen



Ein neues Thema erstellen Auf das Thema antworten  [ 10 Beiträge ] 
Autor Nachricht
 Betreff des Beitrags: Neues WIP-Forum?
BeitragVerfasst: Di Nov 06, 2007 17:50 
Offline
DGL Member
Benutzeravatar

Registriert: Sa Aug 18, 2007 18:47
Beiträge: 694
Wohnort: Köln
Programmiersprache: Java
Was haltet ihr von einem WIP-Forum?

Ein Showroom für jedermann. Wenn man mal nicht direkt ein ganzes Projekt vorzustellen hat. Sondern nur seine aktuellen Testanwendungen, Shadereffekte oder irgendwelche Algorythmen präsentieren möchte, um ein klein wenig Feedback und vielleicht andere/bessere Ideen zu bekommen. Oder wenn man mal sein Programm auf anderen Grafikkarten testen möchte. Sicherlich könnte man das ins Off-Topic Forum ballern. Aber ich finde, sowas hätte durchaus sein eigenes Forum verdient.

/edit: könnte auch ein kleiner ersatz für eine Shader Bibliothek sein... :)


gruß
damadmax

_________________
Es werde Licht.
glEnable(GL_LIGHTING);
Und es ward Licht.


Zitat aus einem Java Buch: "C makes it easy to shoot yourself in the foot; C++ makes it harder, but when you do it blows your whole leg off"

on error goto next


Zuletzt geändert von damadmax am Di Nov 06, 2007 18:42, insgesamt 2-mal geändert.

Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: Di Nov 06, 2007 18:24 
Offline
DGL Member
Benutzeravatar

Registriert: Do Sep 02, 2004 19:42
Beiträge: 4158
Programmiersprache: FreePascal, C++
Die Idee gefällt mir, vorallem, da ich gerade an einem Großprojekt arbeite. Ich entwickle dabei einige vielleicht für die Community interessante Algorithmen, die ich aber hier nicht so recht vorstellen kann, aber auch nicht für jeden Kleinkram nen Tutorial schreiben will, zumal nicht alles wirklich perfekt ist, aber für den Anfang vielleicht gut geeignet. (ich glaube dann würden die Lektoren auch streiken :D)

Gruß Lord Horazont

_________________
If you find any deadlinks, please send me a notification – Wenn du tote Links findest, sende mir eine Benachrichtigung.
current projects: ManiacLab; aioxmpp
zombofant networkmy photostream
„Writing code is like writing poetry“ - source unknown


„Give a man a fish, and you feed him for a day. Teach a man to fish and you feed him for a lifetime. “ ~ A Chinese Proverb


Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: Di Nov 06, 2007 21:40 
Offline
Guitar Hero
Benutzeravatar

Registriert: Do Sep 25, 2003 15:56
Beiträge: 7804
Wohnort: Sachsen - ERZ / C
Programmiersprache: Java (, Pascal)
Seh ich nicht unbedingt so. Wenn es interessant ist und für die Community Nutzbringend, dann ist es vermutlich auch ein Projekt.

Falls es zu klein für ein Projekt ist, dann solltet ihr ähnlich dem Projektthread einen Thread im OT-Bereich aufmachen. Fertige Demos inbegriffen.

Falls ihr mal nen (für eure Begriffe) spektakulären Effekt hinbekommen habt, könnt ihr auch nen Screenshot an einen Admin schicken, der ihn dann als "Bild der Woche" veröffentlicht.

Zusammengefasst: Ich bin dafür, dass ihr eure aktuellen Progrämmchen hier präsentiert noch bevor man es "Projekt" nennen kann, aber ich bin gegen ein neues Forum zu diesem Zweck.

_________________
Blog: kevin-fleischer.de und fbaingermany.com


Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: Mi Nov 07, 2007 15:44 
Offline
Fels i.d. Brandung
Benutzeravatar

Registriert: Sa Mai 04, 2002 19:48
Beiträge: 3827
Wohnort: Tespe (nahe Hamburg)
Bin auch sehr skeptisch, ob sich dies lohnen würde. Um regelmäßig über einen Verlauf zu berichten ist das Projekte-Forum da. Dort sollen eben nicht fertige Projekte vorgestellt werden, sondern durchaus der Verlauf mit aktuellen Veränderungen. Was wir dort nicht sehen wollten, waren zig Leute, die nur "Ideen" dort posten und dann nie wieder gesehen wurden. Davon hat niemand etwas und wenn macht es mehr Sinn dies im Off-Topic zu diskutieren z.B. um das Feedback zu bekommen.

Beim BdW muss ich ein wenig mit den Händen rudern, da dies ja bisher (leider) in all seinen Abwandlungen nicht zum tragen gekommen ist. Allerdings wäre genau dies der Ort für kleine Beispiele, die für die Community von Interesse sein können. Ein Bild an einen Mod senden mit einem kleinen Text für die Besucher, was man dort zu sehen bekommt und wir laden es als News bei uns hoch. Insbesondere wenn es dabei um irgendwelche Effekte geht ist aus der Vergangenheit heraus betrachtet, dass Interesse der Besucher durchaus groß. Wenn es dabei um lauffähige Versionen geht und der Stoff nicht ausgiebig genug ist, um daraus ein Tutorial zu machen, der sollte ebenfalls mit einem Mod (am besten einer der SDK) sprechen. Wir sind hundsdankbar für jedliches Sample, dass wir in die SDK aufnehmen können und auf diese Weise anderen Entwickler als Beispiel anbieten können. Bitte also keineswegs zögern... eine Absage kann es immer geben, allerdings sind wir keineswegs so anspruchsvoll wie wir tun ;-)

Langfristig ist es geplant ein Blog-System bereit zu stellen, dass auch von DGL-Besuchers genutzt werden kann, um dort Dinge vorzustellen. Bereits damit wird es Überschneidungen mit dem Projekte-Forum geben und ich denke, dass es auch mit diesem neuen Forum Überschneidungen geben würde. Allerdings bezweifel ich, dass es noch in diesem Jahr damit etwas wird. Also im Hinterkopf behalten, dass es etwas mögliches in dieser Richtung geben wird. Dennoch würde ich mich auch über einige weitere Meinungen dazu freuen!

_________________
"Light travels faster than sound. This is why some people appear bright, before you can hear them speak..."


Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: Mi Nov 07, 2007 16:09 
Offline
DGL Member
Benutzeravatar

Registriert: Di Sep 03, 2002 15:08
Beiträge: 662
Wohnort: Hamburg
Programmiersprache: Java, C# (,PhP)
Anstatt eines Blogs oder Board Bereiches könnte man doch auch im Wiki eine Seite extra für sowas einrichten. Da selbiges moderiert ist und ihr es vorher selektiert, wird die Auswahl an Inhalten wohl überschaubar bleiben. Ich denke nicht das täglich dutzende Algos etc per Mail ans Team geschickt wird, oder? ;)

_________________
(\__/)
(='.'=)
(")_(")


Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: Mi Nov 07, 2007 16:24 
Offline
DGL Member
Benutzeravatar

Registriert: Do Sep 02, 2004 19:42
Beiträge: 4158
Programmiersprache: FreePascal, C++
Beim Wiki wird nicht wirklich selektiert, abgesehen davon, dass jeder User sich vorher bei nem Admin melden muss, damit er Editierrechte bekommt. Im Wiki könnte man sich in seiner Benutzerseite sowas machen, die Idee ist an sich vielleicht garnicht mal so schlecht. Vielleicht kann man auch die Benutzerdiskussion dafür missbrauchen (natürlich in einer unterkategorie, damit die echte Benutzerdiskussion nicht unter geht, falls es sie gibt).

Aber gut, wenn es diese Blog-Funktion geben wird, dann sehe ich keinen Grund für so ein Forum. So eine Blog-Funktion ist da deutlich hilfreicher, ich denke, dann könnte man sich das Forum sparen.

Gruß Lord Horazont

_________________
If you find any deadlinks, please send me a notification – Wenn du tote Links findest, sende mir eine Benachrichtigung.
current projects: ManiacLab; aioxmpp
zombofant networkmy photostream
„Writing code is like writing poetry“ - source unknown


„Give a man a fish, and you feed him for a day. Teach a man to fish and you feed him for a lifetime. “ ~ A Chinese Proverb


Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: Mi Nov 07, 2007 19:37 
Offline
DGL Member
Benutzeravatar

Registriert: Sa Aug 18, 2007 18:47
Beiträge: 694
Wohnort: Köln
Programmiersprache: Java
Das mit der Benutzerseite ist ne klasse Idee find ich.

_________________
Es werde Licht.
glEnable(GL_LIGHTING);
Und es ward Licht.


Zitat aus einem Java Buch: "C makes it easy to shoot yourself in the foot; C++ makes it harder, but when you do it blows your whole leg off"

on error goto next


Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: Do Nov 08, 2007 20:35 
Offline
Guitar Hero
Benutzeravatar

Registriert: Do Sep 25, 2003 15:56
Beiträge: 7804
Wohnort: Sachsen - ERZ / C
Programmiersprache: Java (, Pascal)
Es gibt bei der Benutzerseite nur einen Haken: Es ließt kaum einer. Man müsste dann etwas mehr Werbung dafür machen. Z.B. in der Signatur die jeder im Forum unter seine Artikel zaubert.

Das Wiki darf ruhig WebZwoNulliger benutzt werden als bisher. Das wird bisher sehr viel passiv genutzt. Etwas leben kann dort ruhig rein. Allerdings ist das Projekte Forum hier in jedem Fall die besseren Lösung wenn eure Basteleien den Rahmen des kleinen Techniktests überschreiten und ein Projekt werden.

_________________
Blog: kevin-fleischer.de und fbaingermany.com


Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: Fr Nov 09, 2007 08:42 
Offline
DGL Member
Benutzeravatar

Registriert: Sa Aug 18, 2007 18:47
Beiträge: 694
Wohnort: Köln
Programmiersprache: Java
Mein Stimme für das Wort des Jahres hast du: "WebZwoNulliger"... :lol:

_________________
Es werde Licht.
glEnable(GL_LIGHTING);
Und es ward Licht.


Zitat aus einem Java Buch: "C makes it easy to shoot yourself in the foot; C++ makes it harder, but when you do it blows your whole leg off"

on error goto next


Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: Di Nov 13, 2007 15:36 
Offline
DGL Member
Benutzeravatar

Registriert: Di Mai 18, 2004 16:45
Beiträge: 2621
Wohnort: Berlin
Programmiersprache: Go, C/C++
Ich bin gegen sowas, wozu haben wir IOTW. Einfach nen kleinen Text schreiben, noch nen link und dannn mit 1-n Bildern als IOTW veröffentlichen.

_________________
"Wer die Freiheit aufgibt um Sicherheit zu gewinnen, der wird am Ende beides verlieren"
Benjamin Franklin

Projekte: https://github.com/tak2004


Nach oben
 Profil  
Mit Zitat antworten  
Beiträge der letzten Zeit anzeigen:  Sortiere nach  
Ein neues Thema erstellen Auf das Thema antworten  [ 10 Beiträge ] 
Foren-Übersicht » DGL » Feedback


Wer ist online?

Mitglieder in diesem Forum: 0 Mitglieder und 3 Gäste


Du darfst keine neuen Themen in diesem Forum erstellen.
Du darfst keine Antworten zu Themen in diesem Forum erstellen.
Du darfst deine Beiträge in diesem Forum nicht ändern.
Du darfst deine Beiträge in diesem Forum nicht löschen.
Du darfst keine Dateianhänge in diesem Forum erstellen.

Suche nach:
Gehe zu:  
  Powered by phpBB® Forum Software © phpBB Group
Deutsche Übersetzung durch phpBB.de
[ Time : 0.049s | 17 Queries | GZIP : On ]