Files |  Tutorials |  Articles |  Links |  Home |  Team |  Forum |  Wiki |  Impressum

Aktuelle Zeit: Sa Apr 20, 2024 11:43

Foren-Übersicht » Sonstiges » Meinungen zu den Projekten
Unbeantwortete Themen | Aktive Themen



Ein neues Thema erstellen Auf das Thema antworten  [ 21 Beiträge ]  Gehe zu Seite 1, 2  Nächste
Autor Nachricht
 Betreff des Beitrags: @Strategiespiel
BeitragVerfasst: Do Jan 25, 2007 18:12 
Offline
DGL Member
Benutzeravatar

Registriert: Do Sep 02, 2004 19:42
Beiträge: 4158
Programmiersprache: FreePascal, C++
Hi @ll

Projektthread

Hier dürft ihr eure Comments zu meinem Projekt abgeben. Noch erwarte ich keine wirklichen, aber wenn ihr was zum Spielprinzip zu sagen habt, nur raus damit. Noch ist so gut wie alles offen.

Gruß Lord Horazont

_________________
If you find any deadlinks, please send me a notification – Wenn du tote Links findest, sende mir eine Benachrichtigung.
current projects: ManiacLab; aioxmpp
zombofant networkmy photostream
„Writing code is like writing poetry“ - source unknown


„Give a man a fish, and you feed him for a day. Teach a man to fish and you feed him for a lifetime. “ ~ A Chinese Proverb


Zuletzt geändert von Lord Horazont am Sa Feb 03, 2007 15:26, insgesamt 1-mal geändert.

Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: Fr Jan 26, 2007 14:20 
Offline
Guitar Hero
Benutzeravatar

Registriert: Do Sep 25, 2003 15:56
Beiträge: 7804
Wohnort: Sachsen - ERZ / C
Programmiersprache: Java (, Pascal)
Bin sehr gespannt auf dein Projekt. Ich bin ein Fan von Strategiespielen. Wäre schön wenn du (Falls das Spiel tatsächlich fortschritte macht) über die Techniken/Prinzipien die unter der Haube liegen hier ab und an was schreiben könntest.

_________________
Blog: kevin-fleischer.de und fbaingermany.com


Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: Fr Jan 26, 2007 20:43 
Offline
DGL Member
Benutzeravatar

Registriert: Do Sep 02, 2004 19:42
Beiträge: 4158
Programmiersprache: FreePascal, C++
Werde ich tun. Hätte ja nicht gedacht, dass ich trotz der etwas sparsamen Informationen ein Feedback bekomme :D

Gibt es eigentlich eine Windows-GUI-IDE für den FPC? Weil wenn dann wollte ich nur Plattformunabhängige Bibliotheken verwenden und dann auch gleich FPC. Ne IDE mit GUI wäre daher nicht schlecht, sonst muss ich mir die auch noch schreiben :wink:. Mit der Standard-DOS-IDE vom FPC komm ich nicht so ganz klar, vorallem mit der Dos-"Unterstützung" von Windows was Maus und Tastatureingaben bei GUI's betrifft :?

Gruß Lord Horazont

_________________
If you find any deadlinks, please send me a notification – Wenn du tote Links findest, sende mir eine Benachrichtigung.
current projects: ManiacLab; aioxmpp
zombofant networkmy photostream
„Writing code is like writing poetry“ - source unknown


„Give a man a fish, and you feed him for a day. Teach a man to fish and you feed him for a lifetime. “ ~ A Chinese Proverb


Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: Sa Jan 27, 2007 01:10 
Offline
Ernährungsberater
Benutzeravatar

Registriert: Sa Jan 01, 2005 17:11
Beiträge: 2067
Programmiersprache: C++
Lazarus

_________________
Steppity,steppity,step,step,step! :twisted:
❆ ❄ ❄ ❄ ❅ ❄ ❆ ❄ ❅ ❄ ❅ ❄ ❅ ❄ ❄
❄ ❄ ❄ ❅ ❄ ❄ ❄ ❅ ❄ ❄ ❆ ❄ ❄


Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: Sa Jan 27, 2007 11:14 
Offline
DGL Member
Benutzeravatar

Registriert: Do Sep 02, 2004 19:42
Beiträge: 4158
Programmiersprache: FreePascal, C++
Oh danke. Den hatte ich wohl wegen schlechten Erfahrungen (die aber von einem falsch konfigurierten Compiler herrührten) verdrängt.

Gruß Lord Horazont

_________________
If you find any deadlinks, please send me a notification – Wenn du tote Links findest, sende mir eine Benachrichtigung.
current projects: ManiacLab; aioxmpp
zombofant networkmy photostream
„Writing code is like writing poetry“ - source unknown


„Give a man a fish, and you feed him for a day. Teach a man to fish and you feed him for a lifetime. “ ~ A Chinese Proverb


Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: Fr Mai 18, 2007 01:07 
Offline
DGL Member
Benutzeravatar

Registriert: Mo Sep 23, 2002 19:27
Beiträge: 5812
Programmiersprache: C++
Gibs zu deinem Strategiespiel eigentlich auch mehr Infos rund ums Gameplay bzw. den Hintergrund? Wäre interessant, denn bisher gings eher um die Technik dahinter. Du erwähnst ja bereits Ascendancy als "Vorlage" und das hat mir damals sehr gut gefallen, daher wären ein paar Infos mehr zum Spiel an sich ganz nett.

_________________
www.SaschaWillems.de | GitHub | Twitter | GPU Datenbanken (Vulkan, GL, GLES)


Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: Fr Mai 18, 2007 15:56 
Offline
DGL Member
Benutzeravatar

Registriert: Do Sep 02, 2004 19:42
Beiträge: 4158
Programmiersprache: FreePascal, C++
@Sascha:
Siehe im Projektthread.

Gruß Lord Horazont

//Edit: Deadlink zu meiner Seite entfernt

_________________
If you find any deadlinks, please send me a notification – Wenn du tote Links findest, sende mir eine Benachrichtigung.
current projects: ManiacLab; aioxmpp
zombofant networkmy photostream
„Writing code is like writing poetry“ - source unknown


„Give a man a fish, and you feed him for a day. Teach a man to fish and you feed him for a lifetime. “ ~ A Chinese Proverb


Zuletzt geändert von Lord Horazont am Fr Mai 23, 2008 16:01, insgesamt 1-mal geändert.

Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: Fr Mai 23, 2008 10:43 
Offline
DGL Member

Registriert: Mi Mär 31, 2004 15:24
Beiträge: 114
Hi,

die Explosion bzw. das Feuer sieht als Screenshot wirklich sehr gut aus. Wie wär's mal mit einer Demo als Vorgeschmack, damit man in den Genuss von bewegten Bildern kommen könnte? Mehr Infos über die geplante Scriptsprache wären auch cool.

Ich bin gespannt :)

Viele Grüße


Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: Fr Mai 23, 2008 15:08 
Offline
DGL Member

Registriert: Di Mai 24, 2005 16:43
Beiträge: 710
Du solltest den Projektor vielleicht mehr wie einen Projektor aussehen lassen, momentan ist das ja nur ein Dreieck mit einem Gradienten drauf. Die Idee ist aber schon sehr cool.

mfg


Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: Fr Mai 23, 2008 15:24 
Offline
DGL Member
Benutzeravatar

Registriert: Do Sep 02, 2004 19:42
Beiträge: 4158
Programmiersprache: FreePascal, C++
Es ist kein Dreieck, es ist ein... ein... ach wie heißen diese Zylinder, die nach oben/unten hin zu einer Spitze zulaufen?

Ja, da muss wirklcih noch Szene drumherum, mal schauen, wie ich das hinbekomme.

Eine Demo kann ich leider nicht anbieten, denn wie gesagt, nach den ersten 100 - 200 Frames läuft das ganze dank Lua als Diashow ab.

Zu der Scriptsprache kann ich noch nichts festlegbares sagen, ich bin auch noch am Planen. Ein Kernfeature ist, dass sie auf jeden fall eine Instanzzählung für Objektvariablen hat, sodass man weiss, wann man sie Freigeben kann und ich keinen Garbage Collector brauche, der dann aus dem ganzen wieder ne Diashow macht.
//Edit: Wegen der Idee... Ist mir glaube ich in einer sehr langweiligen Englischstunde oder so gekommen...

Gruß Lord Horazont

_________________
If you find any deadlinks, please send me a notification – Wenn du tote Links findest, sende mir eine Benachrichtigung.
current projects: ManiacLab; aioxmpp
zombofant networkmy photostream
„Writing code is like writing poetry“ - source unknown


„Give a man a fish, and you feed him for a day. Teach a man to fish and you feed him for a lifetime. “ ~ A Chinese Proverb


Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: Do Apr 09, 2009 09:29 
Offline
DGL Member
Benutzeravatar

Registriert: Di Okt 03, 2006 14:07
Beiträge: 1277
Wohnort: Wien
Zitat:
Ich habe mich entschieden, mal die komplette worldmanager.pas in die Tonne zu treten und alle Klassen dafür neu aufzubauen.......Weiterhin habe ich das Netzwerkinterface neu aufgebaut, weil SDL_net mir zu viele Mängel hatte.

Scheinst einen Entwicklungsschub hinter Dir zu haben. Und über SDL sag ich nichts - Du kommst jetzt offenbar schon selber drauf.

Zitat:
Nebenbei arbeite ich auch wieder an Thorium

Das interessiert mich sehr. Ich hab vor langer Zeit einmal eine Art „Skriptsprache“ implementiert - in einer Tile-Engine - die war aber ziemlich unbeholfen (trau keiner 1.0 Version - nicht mal der eigenen) und sie hatte einen eklatanten Nachteil: man konnte sie nicht debuggen. Derzeit habe ich ein Stück Software in Arbeit , das ein Skript sein soll, das a) schneller ist als die üblichen Skripte und b) außerdem debugged werden kann, denn der Designer soll seine Fehler gefälligst selber finden :wink:.

Das Konzept ist ganz einfach: es soll Pascal-Objekte in Betrieb nehmen können: nicht nur Eigenschaften verändern, auch Methoden und Events benutzen. Die ursprüngliche Version diente dazu, Quests in ein Spiel einzubauen, das hat damals auch schon funktioniert.

Es verwendet RTTI, und wenn ich mich recht erinnere, machst Du das auch. Ich hab das Ding schon angefangen und das Bisherige läuft ganz gut. Vielleicht können wir da Ideen austauschen?

Zitat:
Zu meinem Weltkonzept werde ich hier auch noch bald einen Thread aufmachen, damit ich mir von den "großen Jungs" (äh, und Mädels natürlich, wenn die was dazu zu sagen haben :wink: )

Die Mädelfraktion sagt: überheb Dich nicht. Die beste aller Welten, die ich kenne, ist zwar grenzenlos, aber trotzdem beschränkt (Au weia, in einem anderen Lichte gesehn sogar ziemlich beschränkt :wink:).
Viele Grüße,
Traude


Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: Di Apr 14, 2009 11:38 
Offline
DGL Member
Benutzeravatar

Registriert: Do Sep 02, 2004 19:42
Beiträge: 4158
Programmiersprache: FreePascal, C++
Ja, mittlerweile sieht es so aus, dass SDL nur zwei Dinge machen darf: Fensterverwaltung und Texturen. SDL_Sound ist schon vor ewigkeiten gegen FMOD rausgeflogen, SDL_net hat gerade gegen Synapse oder zumindest gegen die FPC-Sockets verloren.

Der Worldmanager und der Netmanager waren uralt, aus den ersten Tagen des Projektes fast. Dass das nichts werden konnte war fast klar. Wobei SDL_net überlebt hätte wenn es nur eine verfluchte nicht blockende Funktion zur Abfrage der aktuellen Anzahl von Bytes im Puffer gäbe -.-. Denn mit Synapse bin ich auch nicht hundertprozentig zufrieden. Es hat ein paar ernst zu nehmende Designfehler...

Ja, was die Scriptsprache betrifft, am besten im Thread zu Thorium. Wobei ich da auch in wenigen Minuten eine Beta raushauen werde :). Ich war nun ein paar Tage ohne Internet und habe dabei Thorium nahe zur Fertigstellung gebracht... Was nicht so alles passieren kann ;).

Gruß Lord Horazont

_________________
If you find any deadlinks, please send me a notification – Wenn du tote Links findest, sende mir eine Benachrichtigung.
current projects: ManiacLab; aioxmpp
zombofant networkmy photostream
„Writing code is like writing poetry“ - source unknown


„Give a man a fish, and you feed him for a day. Teach a man to fish and you feed him for a lifetime. “ ~ A Chinese Proverb


Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: Di Apr 14, 2009 13:58 
Offline
DGL Member

Registriert: Mo Mär 16, 2009 10:22
Beiträge: 26
Ich bin insgesamt sehr gespannt und verfolge das Strategiespiel mal weiter. Immerhin bin ich auch ein Ascendancy Fan :-).
Liest sich auf jeden Fall sehr interessant alles.

Weiter so XD


Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: So Mai 24, 2009 14:40 
Offline
DGL Member
Benutzeravatar

Registriert: Di Okt 03, 2006 14:07
Beiträge: 1277
Wohnort: Wien
Hallo, Meister
Die Mädelfraktion hat schon wieder mal was dazu zu sagen: das sieht nach einem irren Projekt aus. Mit OOP könnt es aber machbar sein, aber: siehe unten, die drei Punkte.

1. Ich selber habe im Augenblick Schwierigkeiten mit Memory Leaks, darum möcht ich Dir sagen:
Lass immer Heaptrace mitlaufen und wirf einen Blick auf die Debuggerausgaben. Ich hab das nicht gemacht und darf jetzt den Code umrühren und nach den Ursachen suchen. Das ist langwierig, denn es handelt sich ausnahmslos um schwer zu findende Fehler, denn wenn sie leicht zu finden wären, hätt ich sie schon längst gefunden. (Das ist der Grund, warum ich noch nichts zu Deinem Skript sagen kann - bevor ich nicht alle Fehler bei mir ausgemerzt habe, bin ich zu nichts Anderem mehr zu gebrauchen, aber ich schau es mir an, das ist sicher: meine kleine Tile-Engine hat auch ein selbstgeschriebenes Skript eingebaut und das könnte ein wenig "Streamlining" gebrauchen, und dazu ist es immer gut, wenn man sich an einem anderen code "reiben" kann; auf Wunsch bin ich gern bereit, das zur Verfügung zu stellen. Ist aber bedeutend weniger Code als Deiner; zweifellos hast Du da jetzt viel mehr Erfahrung als ich).

2. Du musst nicht immer alles bis ins letzte Detail festlegen. Wichtig ist immer nur die Ebene, auf der man sich grade befindet und ein paar grobe Richtlinien. Wenn man alles im Vorhinein festlegt, dann nimmt man dem Code (und sich selber) ein paar Freiheitsgrade - und damit möglicherweise auch die Freude, dran zu arbeiten, denn man hat die ganze nette kreative Arbeit schon vorweggenommen. Da geht es mehr um Motivation, die Karotte, die man sich selber vor die Nase hängt.

Ich weiß ja nicht, wie Du das machst, aber bei mir ist es so, dass ich mir beim Programmieren eine gewisse Freiheit lasse. Ich probiere es mal so herum und mal anders herum und warte gespannt drauf, ob mir der Code sagt, dass es so richtig ist. Das klingt jetzt verrückt, aber der Code durchläuft so etwas wie eine Evolution.

3. Große Strukturen, die im Vorhinein geplant werden, tendieren dazu, sich im Laufe der Zeit zu verändern, weil man Probleme manchmal erst dann entdeckt, wenn man wirklich vor der Aufgabe steht, den jeweiligen Code zu schreiben (siehe Punkt 2, zweiter Absatz). Und dann brechen manchmal ganze Äste von den tollen Vorgaben einfach weg. Das kommt (zumindest bei mir) immer wieder mal vor.

Noch was wollt ich Dir sagen, ist zwar OffTopic, aber wichtig: Ich hab erst vor kurzem gemerkt, dass der GNU-Debugger jetzt auch in Pascal-Objekte reinschauen kann, nämlich in die Variable "Self". Vor etwa zwei Tagen ist mir das aufgefallen (beim Tile-Engine-Debuggen :wink:), ich dachte, ich träume. Ich wollte nur den Pointer auf das Objekt vergleichen und und daraus erkennen, welches Objekt das ist und und hab folgenden Debug-Code hinzugefügt: "Me:= Self", bin kurz mit der Maus über dem Self und da hüpft mich doch wirklich die ganze Objektinfo an .....

Leider hab ich keine Möglichkeit gefunden, in dynamische Arrays reinzuschauen. Wenn das auch noch ginge, dann brauche ich Delphi praktisch nicht mehr.

Viele Grüße
Traude


Nach oben
 Profil  
Mit Zitat antworten  
 Betreff des Beitrags:
BeitragVerfasst: So Mai 24, 2009 18:41 
Offline
DGL Member
Benutzeravatar

Registriert: Do Sep 02, 2004 19:42
Beiträge: 4158
Programmiersprache: FreePascal, C++
Hallo Traude,

Also, Meister, das halte ich für etwas übertrieben - Meister würde ich mich nicht nennen.

Ja, das ist wirklich eine riesige Menge Kram, mit der ich mich da prügele. Bisher sind es mehr als 200 Klassen (und Interfaces), die zum Teil noch nicht mal ansatzweise deklariert sind.

Zu 1: Danke für den Hinweis. Ich habe die heaptrc immer mitlaufen, nur versäume ich häufig da hinein zu schauen. Ich merks mmer spätestens dann, wenn das beenden des Programms ewig dauert, weil er plötzlich 20.000 nicht freigegebene Blocks in die heaptrc.txt loggt *hust*.
Ich weiss nicht, benutzt du die gleiche heaptrc, die bei FreePascal mitgeliefert ist? Oder hast du da irgendwas anderes? Die von FP liefert einem ja immer wunderschön einen Stacktrace, der das nachverfolgen immens erleichtert. Und manchmal sieht es böser aus, als es ist. Nur nicht entmutigen lassen.

Zu 2 und 3: Nein, ich plane eigentlich nicht viel im Vorraus. Zumindest nicht die ganzen, kleinen Details. Du hast vollkommen recht, dass man dann viel zu schnell die Motivation verliert. Ich habe das selber schon festgestellt, wenn ich viel über eine Problemlösung nachgedacht habe und eigentlich jede Zeile, die ich schreiben müsste, sofort schreiben könnte, habe ich keine Lust, sie zu schreiben.
Ich bin auch jederzeit bereit, wenn mir eine bessere Idee kommt, einen Teil der Strukturen einzureißen und neu zu schreiben. Sowas passiert hier auch immer wieder.
Das klingt überhaupt nicht verrückt - der Code entwickelt sich mit den Fähigkeiten des Programmierers. Und die verbessern sich. Jedes mal. Und eben auch durch kleinere Nebenprojekte, an die man sich mal setzt. Oder halt größere, wie zum Beispiel Thorium, wo ich mehr gelernt habe als ich es mir je erträumt hätte (mal abgesehen davon, dass ich schon erstaunt darüber bin, dass ich das zuende gebracht habe).

Zum Debugger: Ja, das ist mir schon vor einer Zeit aufgefallen. Ich benutze von Lazarus mittlerweile auch immer die daily snapshots, weil auch dort immer wieder neue, interessante Features auftauchen.

merlinschmidt: Ja... Danke fürs Feedback. Allerdings muss ich, siehe die Punkte oben, auch beobachten, wie sich das ganze etwas von Ascendancy entfernt. Allerdings, gerade in der letzten Zeit, nähert es sich zumindest in meinen Gedanken darüber, wie das ganze von statten gehen soll, wenn man es spielt, wieder an. Das Konzept der "Städte", welches ich vor kurzem noch geplant hatte (und welches glaube ich in der Mindmap auch noch steht), habe ich nun durch Kolonien ersetzt. Da die Planeten etwas größer sind (Feldermäßig) als bei Ascendancy, will ich eine Nutzung durch mehr als einen Spieler nicht ausschließen. Wenn man einen Planeten besiedelt, gründet man automatisch eine Kolonie. Jede Kolonie hat ihre eigene Verwaltung und ihren eigenen Siedlungsbereich, der, wenn er erstmal erobert wurde, auch von einer fremden Kolonie nur durch übernahme der Gebäude geraubt werden kann... ... soll, mal schauen ;).
Aber sonst enthält es noch extrem viele Elemente, ich beginne auch, nicht Ascendancysches von Bord zu werfen. Aber lass dich überraschen.
Auch bin ich mir noch nicht darüber sicher, ob ich wirklich Bodeneinheiten implementiere. Vielleicht baue ich das Gerüst dazu, lasse sie aber in der Standarddistribution ungenutzt (das ganze ist ja per XML modifzierbar). Hier lasse ich mir noch freiheiten, wie man sieht ;).

Manchmal sind Leute, die das Projekt mehr oder weniger aktiv verfolgen, wichtiger als der Programmierer.

Grüße zurück :)

_________________
If you find any deadlinks, please send me a notification – Wenn du tote Links findest, sende mir eine Benachrichtigung.
current projects: ManiacLab; aioxmpp
zombofant networkmy photostream
„Writing code is like writing poetry“ - source unknown


„Give a man a fish, and you feed him for a day. Teach a man to fish and you feed him for a lifetime. “ ~ A Chinese Proverb


Nach oben
 Profil  
Mit Zitat antworten  
Beiträge der letzten Zeit anzeigen:  Sortiere nach  
Ein neues Thema erstellen Auf das Thema antworten  [ 21 Beiträge ]  Gehe zu Seite 1, 2  Nächste
Foren-Übersicht » Sonstiges » Meinungen zu den Projekten


Wer ist online?

Mitglieder in diesem Forum: 0 Mitglieder und 7 Gäste


Du darfst keine neuen Themen in diesem Forum erstellen.
Du darfst keine Antworten zu Themen in diesem Forum erstellen.
Du darfst deine Beiträge in diesem Forum nicht ändern.
Du darfst deine Beiträge in diesem Forum nicht löschen.
Du darfst keine Dateianhänge in diesem Forum erstellen.

Suche nach:
Gehe zu:  
cron
  Powered by phpBB® Forum Software © phpBB Group
Deutsche Übersetzung durch phpBB.de
[ Time : 0.031s | 15 Queries | GZIP : On ]